Optional filters for this list
(Show filters...)
Area/Room:
 NameDescriptionManufacturerModelResponsible group
View µRaman / µPL Horiba Jobin YvonLABRamCMNF - Croissance et caractérisations avancées
View 3D printer CKABMakerBot Replicator 2X 3DCMNF - Intégration
View AFM Bioscope

Bioscope Bruker

 Sample size: 10 centimeter diameter

Scan range: 100µm x100µm,  Z range: 6µm

Lateral resolution:  nanometric,  Vertical resolution: 70pm

Working mode:In situ Electrodeposition AFM, TERS

Environment: Ambient air, Nitrogen gas  and Liquid

Temperature working range: 300K

Term of use; Free Access

VeecoBioscopePCP - Domaine AIR
View AFM Dimension

Dimension 3100 Bruker

Sample size: 20 centimeter diameter

Scan range: 100µm x 100µm, Z range :6µm

Lateral Resolution:  nanometric, Vert resolution :50pm

Working mode ; EFM, KPFM, CAFM, PFM, Force spectroscopy, SCM, SGM

Environment: Ambient air, Nitrogen gas  and Liquid

Temperature working range: 300K

Term of use: Free Access

VeecoDimension 3100PCP - Domaine AIR
View AFM Edge

equipement sur BCM depuis le 15 novembre 2021

BrükerEdgeCMNF - Caractérisation In-Line
View AFM Icon

 Dimension ICON Bruker

-----------------------------------------------------------

Note: problem laser -- do not scan more than 20 x 20 µm² including offsets

Do not use z sensor as topographical information

Horizontal deflection blocked

---------------------------------------------------

Sample size: 20 centimeter diameter

Scan range: 100µm x 100µm,  Z range :10µm

X and Y piezo linearization Feedback (close loop)

Lateral resolution:  nanometric, Vertical resolution : 30pm

Working mode ; AFM Tapping, AFM Peakforce, EFM, KPFM, CAFM, PeakForce TUNA, PFM, SThM, Force spectroscopy, SCM, SGM

Environment: Ambient air, Nitrogen gas  and Liquid

Temperature working range: -25°C-150°C

Term of use: Free Access

BrukerIconPCP - Domaine AIR
View AFM Multimode

 Multimode Picoforce Bruker

Sample size: 1 centimeter square

Scan range: 10µm x 10 µm   Z range: 2.5µm

Resolution:  atomic, nanometric, low noise, Vert resolution: 30pm

Working mode: EFM w/2w, KPFM, CAFM, PFM, Force spectroscopy, CFM, STM

 

Environment: Ambient air, Nitrogen gas

Temperature working range: 300K

Term of use: Free Access

 

VeecoMultimodePCP - Domaine AIR
View AFM SMART BruckerA définirCARAC - DC/BF/MEMS
View AFM/SEM IEMNAFM/SEMPCP - Domaine AIR
View ALD PICO1_oxynit

sur badgeuse BCM depuis 24 fevrier 2023

PICOSUNR200 AdvancedCMNF - Dépôts chimiques
View ALD PICO2_autres

We can deposit the following materials : Pt
 

PICOSUNR200-AdvCMNF - Dépôts chimiques
View ALD PICO2_oxynit PICOSUNR200-AdvCMNF - Dépôts chimiques
View Autoclave

Autoclave à couvercle amovible à fermeture rapide (ACAFR) permettant la stérilisation d’ustensiles et dispositifs, ainsi que l’inactivation des déchets biologiques sous forme liquides et solides.

Note : seules les personnes habilitées à manipuler les ACAFR peuvent lancer les cycles d’autoclave

 Quick-Closing Removable Lid Autoclave (ACAFR) allowing sterilization of utensils and devices, as well as inactivation of biological waste in liquid and solid form.

 

Note: only people authorized to handle ACAFRs can start autoclave cycles

 


 
Systecn.aPlate-forme L2
View B3 CVD empty TempressTS6303-4CMNF - Dépôts chimiques
View Banc de photoconductivité IEMN1D1 - PHYSIQUE
View Banc KEITHLEY 2 Pointes

sur badgeuse BCM depuis le 1er janvier 2021

Keithley3631BCMNF - Caractérisation In-Line
View Banc KEITHLEY 4 Pointes

Mesures I-V,résistances, diodes,...

 

Equipement sur badgeuse BCM depuis le 01 janvier 2021

keithley2612BCMNF - Caractérisation In-Line
View Banc NEMS non renseignénon renseignéCARAC - DC/BF/MEMS
View Banc opto DC HF (2)  Non renseignéNon renseignéCARAC Team
View Banc pulsé Keysight Technologiesà défCARAC - NonLinéaire
View Bati5 (RIE)

Applications

The tool is capable of etching silicon nitrides, silicon oxides, polysilicon, tungsten, tungsten silicide and various polymers (BCB, PMMA, PEDOT).

Principal specifications

  • RF (13.56 MHz) powered lower electrode (6 - 600 W)
  • Available gases: Ar, N2, O2, SF6, CF4, CHF3
  • Substrate temperature control
  • 240 mm diameter table allowing for 200 mm (8”) batch capacity.
  • Automatic RF matching network
  • Control by PC using Oxford PC2000 software
  • Endpoint detection through laser interferometry (JY Horiba hardware, in-house software “PlasmaScope”)
  • Continuous process datalogging

Equipement sur badgeuse BCM depuis le 21 mai 2021

OIPT, UKPlasmaLab 80 PlusCMNF - Gravure
View Bati5 bis (RIE)

The tool is for etching thin (< 200 nm) layers of (poly)silicon, silicon nitrides and silicon oxides.

Other thin layers (e.g. graphene, graphene oxide, GaN) may also be etched if necessary.

The removal (ashing) of photoresist is not allowed ; use Bâti 5 or TEPLA (preferred).

Please follow the correct plasma cleaning procedure after etching (displayed beside the tool). 

 

Equipement sur badgeuse BCM depuis le 21 mai 2021

 

OIPT, UKPlasmaLab 80 PlusCMNF - Gravure
View Bioimprimante 3D

3D bioprinting is the utilization of 3D printing like techniques to combine cells, growth factors, and biomaterials to fabricate biomedical parts that maximally imitate natural tissue characteristics.

 

 The 3D BioX from CellInk utilizes the layer-by-layer method to deposit bioinks to create tissue-like structures that are later used for biological research.

 

Features

-Temperature Controlled Printbed (4 C to 60 C)

-Compatible with standard petri dishes, multi wellplates, and custom inserts

-Compatible with a wide range of bioinks in CellInk library

-Clean chamber technology with UV-C germicidal lamps and HEPA H14 dual-filter system

-Exchangeable Photocuring Modules : 365 nm and 405 nm

https://www.cellink.com/product/cellink-bio-x/

 

 

CellinkBioXPlate-forme L2
View Biolin Contact Angle

Surface wettability

Static or dynamic contact angle measurement

Surface energy

new contact angle measurements Biolin 2023

Biolin ScientificTheta LiteCMNF - Caractérisation In-Line
View Boite à gants chimie PlasLabs Plas Labs855 ACD1 - NCM
View Boite à gants évaporateur/chimie MBraun MBraun MB-200B Modular Glove Box WorkstationD1 - NCM
View BonderSB6

install bcm  juin 2022

The Bonder is a semi-automatic, computer-controlled, stand-alone substrate bonder equipped with a vacuum/pressure chamber and a loading arm. The machine processes aligned and unaligned wafers, substrates and chips. All bonding pair alignment is done on the BA6 tool , the substrate stacks are mechanically clamped using the transport fixture, and then transported and bonded in the SB6 chamber.

For aligned and unaligned wafers using thermo-compression, anodic, fusion, adhesive or any related bond technology.

 

Equipment Capabilities:

  • Wafer size: pieces smaller than 2-inch, 2-inch up to 4-inch.
  • Aligned bonding: Down to 3 μm depending on process conditions.
  • Two ceramic heaters, Temperature up to 550°C
  • The pressure inside the chamber is controlled via pumps: roughing, turbo pumps and valves.
  • Max vacuum: 5e-5mbar in 5 minutes
  • Bond Voltage; -500V to -600V for bond initiation and -800V to -1200V for anodic bonding.
  • Maximum Peak Current: 15 mA.
  • Tool Pressure up to 18 KN for 6-inch
  • Motorized z-axis, pneumatic and simultaneous spacers and clamps movements
SUSS MICROTECSB6eCMNF - Lithographie
View Caméra IR Quantum Focus InstrumentsMWIR-512CARAC - NonLinéaire
View Carbolite CarboliteTZF 12/100/900CMNF - Thermique et Implantation
View Cathodoluminescence Non renseignéNon renseignéCMNF - Caractérisation In-Line
View Cell culture

This resource includes all the equipment necessary for cell culture:

 

-A type II microbiological safety cabinet (PSM II, Thermo Scientific MSC advantage) which is an enclosure for handling biological samples while ensuring the safety of the user and the environment, and for working in sterile conditions

 

-A CO2 oven (Memmert), a central element in cell culture, since it makes it possible to maintain cell cultures under suitable conditions of temperature (37 ° C) and atmosphere (environment at 5% CO2)

 

-Water bath (Grand, JB Nova 12): used when maintaining cell lines

 

-Control tools: Leica DMi1 inverted microscope, for the control of cell proliferation as well as a cell counter (Life Technologies Countess II)

n.an.aPlate-forme L2
View Centrifuge

Centrifuge VWR, Mega Star 600R

ncncPlate-forme L2
View Cluster CT200 P1

Equipement sur badgeuse BCM depuis le 7 avril 2021

Alliance ConceptCT200CMNF - Dépôts physiques
View Cluster CT200 P2

Equipement sur badgeuse BCM epuis le 7 avril 2021

Alliance ConceptCT200CMNF - Dépôts physiques
View Cluster CT200 P3

Equipement sur badgeuse BCM epuis le 7 avril 2021

Alliance ConceptCT200CMNF - Dépôts physiques
View CMP ALPSITECE460CMNF - Intégration
View CNC fraiseuse numérique

CNC milling machine, DATRON NEO

Development of fluidics (devices) or mechanical compounds (molds) in polymer or hard materials

  The DATRON neo is a CNC milling machine which enables the ultra-fast and efficient machining of different materials.

  Whether for 3, 3 + 2 or 5 simultaneous, high precision or economical machining.

 

Compatible materials:

-composites

-aluminum

-light alloys

-wood

-plastics

-carbon fiber reinforced plastic

-stainless steel

-green ceramics

 

 

Machine capabilities:

-milling

-drilling

-3D engraving

The machine offer the highest level of precision and surface quality

 

Datron Machine Technology:

-Ultra-fast spindles up to 60,000 rpm.

-Quick installation thanks to DATRON clamping devices

-Flexible modular clamping solutions

-Minimal quantity cooling / lubrication circuit

-Automatic tool changer

-Patented technology for ultra-fast machining

-Quick and easy programming

-Microsoft Windows based control

-Optional automation solutions

-Very low consumption

Datronn.aCMNF - Soft Litho
View CRYO DC et EFFET HALL A DEFA DEFCARAC - DC/BF/MEMS
View CVD Graphene jipelecJetfirst100FCMNF - Croissance et caractérisations avancées
View CVD Nanowire growth MPA MPAMPA CMNF - Dépôts chimiques
View Dépot Parylene - bati C20S ComelecC20SCMNF - Dépôts chimiques
View Dincing saw DAD 3240 DISCODAD 3240CMNF - Intégration
View Double enceinte sous vide

Double enceinte sous-vide permettant par exemple la caractérisation de MEMS jusque 300°C

Non renseignéNon renseignéCARAC - DC/BF/MEMS
View DRX

Mis sur boitiers le 01/07/2023

PANalyticalMRD X’Pert ProCMNF - Croissance et caractérisations avancées
View Dry film laminator

This equipment is suitable for the application of dry film resist containing a very thin temperature and/or pressure sensitive adhe-sive layer.

• Sample size: up to 400mm large and up to 8mm thick
• Hot rolls digitally controlled in the 20-200°C temperature range
• Pressure adjustable through the control of the edge gap between rolls

BungardRLM419PCMNF - Intégration
View E-beam B010

B010 (EBPG5000Plus) is an e-beam lithography tool. It is capable of writing features smaller than 10nm and placing structures on a substrate with an accuracy of less than 30nm.

Some of the key specifications are outlined in the following:

  • 20, 50 and 100keV Thermal Field Emission Gun
  • High Resolution Gaussian Beam System
  • 50MHz Intelligent Pattern Generator
  • 1.25nm minimum pixel size
  • Robust Direct Write Mark Detection & Alignment Software
  • 10 positions load lock for batch processing of multiple substrates
  • Holders for 50mm, 75mm, 100mm wafers, 4 and 5” masks and smaller piece parts
  • Overlay and stitching better than 30nm
RAITHEBPG 5000 PLUSCMNF - Lithographie
View E-beam B028

B028 (EBPG5000Plus) is an e-beam lithography tool. It is capable of writing features smaller than 10nm and placing structures on a substrate with an accuracy of less than 30nm.

Some of the key specifications are outlined in the following:

  • 20, 50 and 100keV Thermal Field Emission Gun
  • High Resolution Gaussian Beam System
  • 50MHz Intelligent Pattern Generator
  • 1.25nm minimum pixel size
  • Robust Direct Write Mark Detection & Alignment Software
  • 10 positions load lock for batch processing of multiple substrates
  • Holders for 50mm, 75mm, 100mm wafers, 4 and 5” masks and smaller piece parts
  • Overlay and stitching better than 30nm
RAITHEBPG 5000 PLUSCMNF - Lithographie
View ECHO Revolution
Ce microscope hybride « tout-en-un » peut être utilisé pour les méthodes d’observation droites et inversées.
  • Imagerie de cellules vivantes : visualisation et capture d'échantillons vivants grâce à la microscopie automatisée en temps réel.
  • Incubateur Stage Top (en option) : assure des conditions optimales pour préserver la viabilité des échantillons.
  • Multi-canal : capture et superposition de plusieurs longueurs d'onde en fluorescence
  • Multi-point : définition de plusieurs points d'acquisition à visualiser et à revoir au fil du temps
  • Assemblage d'images : capture et assemblage pour constituer des images haute résolution à grand champ visuel
  • Z - stack : acquisition et empilement d'images sur plusieurs plans focaux
  • Mise au point automatique : recherche et suivi automatisés du ou des meilleurs plans Z pour la mise au point
  • Hyperscan : assemblage d'images à grande vitesse
Caméra monochrome bas niveau de lumière 5 MP et caméra couleur CMOS fond clair 5 MP. Porte-objectif intelligent - l'interface logicielle peut ainsi reconnaître automatiquement l'objectif employé. Logiciel Hyperscan (en option) - scanning ultra rapide et assemblage d'images en mosaïque à des taux d'images par seconde élevés.

Technique d'illumination : Fond clair et épi-fluorescence (contraste de phase disponible en option). LED ultrabrillantes avec cycle de vie de 50 000 heures. Les fonctions de l'écran tactile gèrent les caméras et l'illumination, remplaçant leviers et tourelles manuels. Les oculaires traditionnels sont remplacés par un écran tactile PixelSense™ de 28 pouces facilitant la visualisation. La méthode d'observation droite est idéale pour les lames en verre. Utile pour les applications d'immersion dans l'eau. La méthode d'observation inversée est adaptée aux échantillons vivants dans des chambres fluidiques comme des flacons de culture et des plaques à puits. Elle permet également de manipuler plus facilement les échantillons. Idéal pour les applications de grande distance de travail.

ECHORevolutionPlate-forme L2
View Electrolyse Au RENA RENARENACMNF - Dépôts physiques
View Electrolyse Cu RENA RENARENACMNF - Dépôts physiques
View Ellipso AutoSE

AutoSE spectroscopic ellipsometer

It enables measurements of optical properties of films having thickness ranging from few nanometers to few microns, between 440 to 1000 nm. It allows rapid and automatic data acquisitions (few seconds). Mapping of optical properties can be easily achieved on samples up to 100 x 100 mm², using the XYZ mtorized stage. Spot sizes down to  25 µm x 25 µm can be selected for local investigation.

The sofware is controlled by DeltaPsi2 sofware

Equipement sur badgeuse BCM depuis le 27mai 2021

Jobin Yvon AutoSECMNF - Caractérisation In-Line
View ESERIES Laser Ablation
Laser micromachining is emerging as a key technology for structuring, ablating, scribing, cutting, drilling a wide range of materials as diverse as semiconductor crystals, metals and plastics. Ablation selectivity betwen materials can be achieved with a proper selection of wavelength, laser shot repetition rate and beam velocity. Two laser micromachining equipments are available, operating in the nanosecond and femtosecond pulse regimes, respectively.
 
• UV nanosecond (35ns) diode-pumped (DPSS) lasers source (351 nm)
• Average power up to 8W and pulse energy up to 5 mJoule
• galvanometer deflection with extended field of 50×50mm2
• sample stage up to 300×300 mm2
OxfordLaserESERIESCMNF - Intégration
View Estrelas (DRIE)

Equipement sur badgeuse BCM depuis le 02 mai 2021

Deep Si etching, SOI

Bosch process

Cryogenic process

Anti-notching apparatus

4" quartz clamp

220 mm electrode

ICP 5 kW @ 2 MHz

HF 600 W @ 13.56 MHz

LF 300 W @ 350 kHz, pulsed

 

OIPT, UKOXFORD Estrelas PlasmaLab Pro 100CMNF - Gravure
View Evaporation effet Joule MEB 450S

MEB 450S

1 -     2 -     3 -

Equipement sur badgeuse BCM depuis le 7 avril 2021

PlassysMEB 450SCMNF - Dépôts physiques
View Evaporation MEB 550S (2005-Bâti I) (Ti, Ni, Au, Al, Ge, Mo, Pt, Ag)

Ag, Al, Ge, Ti, Ni, Au, Pt, Mo

500nm maximum

PlassysMEB 500SCMNF - Dépôts physiques
View Evaporation MEB 550S (Tilt -2008-bâti II) (Ti, Ni, Au, Au, Cr, Mo, Pt, Pd)

Cr, Pd, Pt, Mo, Ti, Ni, Au, Au

1µm max

PlassysMEB 550SCMNF - Dépôts physiques
View Evaporation MEB 550SL (libre-service-2018) (Ti, Ni, Au, Al, Ge, Cr, Pd, Pt)

Equipement sur badgeuse BCM depuis le 7 avril 2021

Ti, Ni, Au, Ge, Al, Pd, Pt, Cr

500nm max

PlassysMEB 500SLCMNF - Dépôts physiques
View Flood Deep UV  OAIDeep UV 240 nmCMNF - Lithographie
View FSM contraintes FSMTC500CMNF - Caractérisation In-Line
View FTIR spectrometer Perkin Elmer  Perkin Elmer System 2000D1 - NCM
View FTIR spectrometer Perkin Elmer

Tri-range MIR/NIR/FIR Fourier-Transform Infrared Spectrometer (FTIR)

Spectral range : from 15000 cm-1 to 30 cm-1

Different accessories available for bulk materials (solid or liquid) or surface analysis (molecular films, polymers)
- Veemax III accessory (from Pike Technologies) : Variable angle single reflection ATR with Ge crystal or variable angle specular reflection with manual control of the angle of incidence
- Gladi ATR accessory (from Pike Technologies ) :  ATR with diamond crystal (controle of temperature also possible during analysis)

Different techniques : transmission mode, ATR mode, variable angle specular reflexion

Perkin ElmerSPECTRUM 3CMNF Team
View FTIR TEST BUG Perkin ElmertestCANTHER UMR9020
View Furnace Module 5 left MemertMemertCMNF - Lithographie
View Furnace Module 5 right MemertMemertCMNF - Lithographie
View Furnace Module 6 MemertMemertCMNF - Lithographie
View Goniomètre Kruss

Equipement sur badgeuse BCM depuis le 27 janvier 2021

Kruss Goniometer DSA 100

Measurement of wettability and contact angles for surface characterization (hydrophobic, hydrophilic, super-hydrophobic ...)

 

 For wettability and wall angles measurements

 Surfaces Characterization: hydrophilic, hydrophobic…

 High-quality instrument used for analyzing wetting and coating processes

 

 

 

 The Drop Shape Analyzer – DSA100 is a high-quality system solution for tasks in the analysis of wetting and adhesion on solid surfaces.

 

 From the basic unit for precise measurement of the contact angle to the fully automatic expert instrument for serial measurement of surface free energy (SFE).

 

 Flexible solutions for different tasks

 The investigation and optimization of wetting and adhesion behavior. With up to three axes for sample positioning, the sample is moved quickly and reproducibly to the measuring positions. Measurement of the contact angle with up to 8 liquids ensures maximum accuracy when determining the SFE.

 The pressurized double-dosing unit allows measuring the SFE extremely fast and reliable.

 

Quality down to the last detail

 The uniform LED illumination and the quality lens ensure high precision when displaying the drop for accurately measuring the contact angle or surface tension. Thanks to the large zoom range, even small drops appear with optimum width in the video image and can therefore be investigated reliably. A protection is provided for sensitive components and the troublesome effect of vibration have been minimized. The high-resolution camera, the lens and the syringes and storage vessels for test liquids are mounted in a robust, enclosed housing.

 

 The position of the drop in the image remains unchanged when the viewing angle is adjusted.

Comprehensive analysis of solids and liquids

 The DSA100 measures the surface tension of liquids using the Pendant Drop method. The results can be used to analyze the relationship between the wetting of the solid and the liquid properties. The results help to provide a scientific picture of the interface contact, which can be used, for example, to optimize the adhesion and long-term stability of coatings. Other solutions for measuring the liquid-liquid interfacial tension, for measurements at high pressures and for surface rheology make the DSA100 a universal instrument for interface research.

 

 

ADVANCE –Intuitive software solution for measurement tasks

 The simple operation of the instrument goes hand-in-hand with the clear user guidance of the ADVANCE software. The relevant functions for each particular step are arranged in tiles which display all elements necessary in the context. By avoiding the use of menus and pop-ups, ADVANCE saves any unnecessary clicks and time-consuming searches for hidden elements. Particularly comfortable is the programming of automatic sequences that integrate the software-controlled components of the DSA100 in complete sample analyses with maximum flexibility. Using these automation programs provides high reproducibility and reduces the user’s influence on the results to a minimum.

 

Tasks and applications

-Characterization of surface pre-treatment processes

-Investigation of the adhesion and stability of bonding and coating processes

-Investigation of coating substances in accordance with DIN 55660

-Checking the wettability of plastic, glass, ceramic, wood or metal

-Quality control for wafers and microelectronics

-Checking surface cleanliness

-Elasticity of liquid films

-Wetting of microscopically small surfaces

 

Measuring methods and options

-Contact angle between a liquid and a solid

-Surface free energy from contact angles of several test liquids using all common models

-Static contact angle, advancing angle and receding angle

-Roll-off angle on hydrophobic and superhydrophobic surfaces

-Measurement of surface tension and liquid-liquid interfacial tension using the Pendant Drop method

-Temperature-controlled measurements from -30 to 400°C

-Measurements at controlled humidity

-Surface rheological measurements (modulus of elasticity E'; modulus of viscosity E'')

-Wetting measurements on microscopically small surfaces

Krussn.aCMNF - Soft Litho
View Gravure HF vapeur

sur BCM badgeuse depuis le 1er janvier 2023

SPTS-PRIMAXXµetch moduleCMNF - Gravure
View Grinder

MPS 2 R300 (G&N)
For a fast and agressive mechanical thinning of substrates
A rotation abrasive wheel removes the material on a sample itself in
rotation.

Main characteristics:
• Substrate: from 2 to 8 inches
• Five 4 inches substrates max
• Substrate rotation speed: 0-30 rpm
• Head max rotation speed: 2600 rpm
• Height precision: 3 μm
• Grinding speed: 1-30 μm
• Materials: Silicon SiC glass
Ultra pure deionized water allows cooling during the process.

G&NMPS2R300CMNF - Intégration
View Hall Effect

Equipement sous badgeuse BCM

NanometricsHL5500CMNF - Croissance et caractérisations avancées
View Impédancemètre HP4294A HP4294ACARAC Options
View Implanteur

EATON-AXCELIS GA 3204 is a medium current reactor. The possibility to use the materials in different states (gases, salts, metals and liquids) makes this equipment the unique tool in France because of its capability to implant very large range of the chemical species. In the standard mode, it is possible to implant the chemical species from 20kEv to 200 kEv. Recently, the implanter was equipped with deceleration module that makes possible the low energy implantation from 3kEv to 20kEV. One can perform the implantation at different angles to the substrate for 3D structures and with rotated samples and in the wide temperature range, from -10°C to 300°C. The implantation is often followed with the rapid thermal annealing to recover the crystal damage. Two RTA plates are using: Jipelec JetStar 100S and Anealsys One with the max temperature up to 1200°C. The implantation and the annealing are realized by a technician and SRIM simulation by an engineer. No possible “free” using for this tool.

Eaton-Axcelis, USAGA3204CMNF - Thermique et Implantation
View Impression Jet d'encre CERADROPCERAPRINTER X-seriesCMNF - Dépôts physiques
View JSERIES Laser Ablation
Laser micromachining is emerging as a key technology for structuring, ablating, scribing, cutting, drilling a wide range of materials as diverse as semiconductor crystals, metals and plastics. Ablation selectivity betwen materials can be achieved with a proper selection of wavelength, laser shot repetition rate and beam velocity. Two laser micromachining equipments are available, operating in the nanosecond and femtosecond pulse regimes, respectively.
 
• Multi-wavelength femtosecond (300fs) diode-pumped (DPSS) lasers source (UV343,GR515,IR1030nm)
• Average power up to 20W and pulse energy up to 100 μJoule in IR
• Repetition rate up to 2MH
OxfordLaserJSERIESCMNF - Intégration
View Keithley - Pulsé Keithley4200-SCSCARAC Options
View Keithley Statique Keithley4200-SCSCARAC Options
View KOH Bench Chemical benchChemical benchCMNF - Dépôts chimiques
View Laser FEMTO - Pompe-sonde Spectra-PhysicsMAI-TAIvide
View Laser Femtoseconde Spectra-PhysicsMAI-TAI HPPCP - Domaine UHV
View Leica DMi8

Leica DMi8 Microscope

-Phase-contrast and fluorescence microscopy

-X, Y and Z motorized stage

-Adaptativ focus control for time lapse experiment

-Incubation chamber for the control of temperature and CO2 level for real time imaging

 

 This microscope makes it possible to make acquisitions in phase contrast and epi-fluorescence. It is equipped with a motorized X, Y and Z stage.  The Adaptive Focus Control (AFC) allows long-term acquisitions without focus drift over time. The temperature-controlled and CO2-controlled environmental enclosure allows real-time imaging of devices possibly coupled to microfluidics.

 

 The microscope is equipped with a large chamber incubation system for the stabilization of temperature and humidity which is designed for pre-heating cell and tissue cultures.

 

 Providing extra space for large and long-term experiments, this large incubator can easily accommodate small or large equipment within their tightly controlled environment.
 This incubator is used in combination with a Heating Unit 2000. Temperature control is carried out with the TempController 2000-2.


 For a local CO2 gassing, the system has been upgraded with specific Heating Inserts and CO2-Covers in combination with the CO2 Controller 2000. A Humidification Bottle is provided with every gassing unit.

LeicaLeica DMi8Plate-forme L2
View Manips diverses 1 Non renseignéNon renseignéCARAC Team
View Manips diverses 2 Non renseignéNon renseignéCARAC Team
View Mask Aligner (left)

• Wafer size from 1⁄4 to 4 inch and mask size: quartz 4*4 and 5*5
• Exposure mode: Proximity, soft, hard and vacuum contact
• Top side alignment (TSA) down to 0.5μm, bottom side alignment (BSA) down to 1 μm
• Resolution with vacuum contact down to 800 nm with resist Aznlof 2020

Equipement sous badgeuse BCM

SUSS MICROTECMA6/BA6CMNF - Lithographie
View Mask Aligner (right)

• Wafer size from 1⁄4 to 4 inch and mask size: quartz 4*4 and 5*5
• Exposure mode: Proximity, soft, hard and vacuum contact
• Top side alignment (TSA) down to 0.5μm, bottom side alignment (BSA) down to 1 μm
• Resolution with vacuum contact down to 800 nm with resist Aznlof 2020

 

Equipement sous badgeuse BCM

SUSS MICROTECMA6/BA6CMNF - Lithographie
View MBE 2D

Equipement sur boitiers BCM depuis le 1er juillet 2023

VINCI TechnologiesUHV E-Beam & MBECMNF - Croissance et caractérisations avancées
View MBE C21 Gaz

Equipement sur boitiers (BCM) depuis le 1er juillet 2023

RIBERC21 TMCMNF - Croissance et caractérisations avancées
View MBE Graphène / BN

Equipement mis sur boitiers BCM depuis le 1er juillet 2023

RIBERC21SCMNF - Croissance et caractérisations avancées
View MEB instrumenté (Nanoprober/SMM) TESCANA définirCARAC - Nanocaractérisation
View Megasonic cleaning SPSMegasonic CMNF - Intégration
View Meyer Burger IonSys 500 (RIBE)

In Ion Beam Etching (IBE), also known as Ion Beam Milling (IBM), an inert ion beam is used for pure physical sputtering of the sample material. This technique is commonly used for structuring metals or other materials which are not accessible to chemical etch processes. Reactive Ion Beam Etching (RIBE) uses reactive process gases to generate a reactive ion beam. Physical and chemical etch components and related selectivities may be easily varied by the energy of the ion beam. Finally Chemically Assisted Ion Beam Etching (CAIBE) uses an inert ion beam in a reactive gas background. Here the ions drive surface reactions with the absorbed reactive species. Based on these process features, ion beam etching might serve applications difficult to be addressed by common etch technologies. 

Generally ion beam milling is applied for materials which may not be etched on a chemical basis, like most metals used in MEMS manufacturing. As a second important feature, one may utilize the directed ion flux and the angle dependence of the milling rate. This way defined and/or variable side wall angles in microstructures may easily be generated. Also, by choosing a specific ion incident angle, process rates and selectivity may be optimized.

Badgé BCM 04/06/2021

Meyer Burger, DIonSys 500CMNF - Gravure
View Micro-soudeuse K&S 4500 KULICKE & SOFFA45800 serieCMNF - Intégration
View Micro-soudeuse WB 100

Equipement sous badgeuse BCM

JFPWB 100CMNF - Intégration
View Napson NAPSONNC-10CMNF - Caractérisation In-Line
View Navigator8

Asher tool.

Gases: O2 (500 sccm), CF4 (10 sccm), N2 (50 sccm)

Power: 13.56 MHz, 1000 W

Pressure: 50 - 1000 mTorr

Chamber heating: 20 - 250 °C

Chuck heating: 20 - 250 °C

Equipement sur badgeus eBCM depuis le 15 novembre 2021

PlasmathermNavigator8CMNF Team
View NVNA Keysight TechnologiesN5245ACARAC - NonLinéaire
View Olympus IX71

Olympus IX71 Microscope

This microscope is equiped with:

-a high-sensitivity high-speed camera

-allows phase contrast microscopy

-epi-fluorescence microscopy

-It is also equiped with a heated and motorized X, Y stage

 

Available Filter cube:

-Cube n°1: UMWB2 (similar to FITC) ex: BP 460-490/ Em: BA 520 IF

-Cube n°2: UMWG2 (similar to TRITC)  ex: BP 510-550 /Em: BA 590

-Cube n°3: Cy5 UN 41008 (similar to Cy5) ex : HQ 620/660X  / Em : HQ 700/75 M

-Cube n°4: Four wavelength filter cube: LED-DA/FI/TR/Cy

         -DAPI :  ex : BP 392-25 Em: BP 432-25

         -FITC :  ex : BP 474-25 Em: BP 515-25

         -TRITC : ex : BP 554-25 Em: BP 595-25

         -Cy5: ex: BP 635-25 Em: BP 730-75

 

Available LED:

-365 nm

-470 nm

-550 nm

-660 nm

OlympusIX71D2 - BIOMEMS
View Optical profiler

The Contour GT-X is a stand-alone optical surface-profiling system. It measures surface topography with high accuracy in a range from fractions of a nm up to approximately 10mm. The system contains motorized x/y, tip/tilt and z stages to enable automated production monitoring. It is equipped with four interferometric objectives of magnification 2.5x, 10x, 50x and 115x

BrukerContour GT XCMNF - Intégration
View Organic chemistry Lab RoomBenchesCMNF - Dépôts chimiques
View Oxford ICP Ch1 (ICP-RIE)

One of two chambers on the Oxford Plasmalab System 100 cluster. 

This chamber has the following gases available: Ar, CH4, Cl2, H2, O2, SF6

It is mainly for etching InP, InGaAsP, InGaAs, ...

The electrode can be actively cooled (min 0 °C) and actively heated (max 100 °C)

 

Equipement sur badgeuse BCM depuis le 21 mai 2021

OIPT, UKICP PlasmaLab 100CMNF - Gravure
View Oxford ICP Ch2 (ICP-RIE)

One of two chambers on the Oxford Plasmalab System 100 cluster. 

This chamber has the following gases available: Ar, BCl3, Cl2, O2, SF6

It is mainly for etching GaAs, AlGaAs, GaN...

The electrode can be actively cooled (min 0 °C) (no heating). 

 

Equipement sur badgeuse BCM depuis le 21 mai 2021

OIPT, UKICP PlasmaLab 100CMNF - Gravure
View PCR
 

The CFX Connect Real-Time PCR Detection System offers two-target analysis, excellent thermal cycler specifications, and the same reliable performance as the CFX96 Touch Real-Time PCR Detection System. The system incorporates innovative optical technologies with powerful software to provide maximal reliability and efficiency for all your real-time PCR needs.

PCR is an enzymatic amplification technique in vitro, allowing from a fragment of DNA to obtain a large number (several million) of identical copies of the same fragment. QPCR (quantitative PCR) allows the detection, characterization and quantification of DNA in many applications. The device available is a qPCR device (PCR Biorad CFX connect) which allows the PCR amplification process to be monitored in real time.

 

 

BioradCFX connectPlate-forme L2
View PDMS Station

PDMS station consists of the following equipment:

-precision scale

-dessicator

-oven

-plasma torch

ncncCMNF - Soft Litho
View PECVD Bati3

Silicon Oxyde, Silicon Nitride and Oxynitride deposition by PECVD. Film stress can be controlled by high / low frequency mixing techniques. Temperature range : 20°C --> 350°C.  Thickness : 5nm to 3µm. Uniformity : 3% on 6 inches wafers.

Equipement sur badgeuse BCM depuis le 5 mai 2021

Oxford InstrumentsPlasmalab80PlusCMNF - Dépôts chimiques
View Photopatterning Primo Alvéole

Manufacture custom microenvironments in vitro, with micrometric control of their topography, rigidity and chemistry

 Micropatterning, hydrogel polymerization and microfabrication

 Create in vitro cellular microenvironments

 Functionalize and / or structure substrates

 

 Alvéole develops innovative tools improving the quality of the cell sample preparation for cell biology and microscopy.


 Through the fine-tuning of cell microenvironment in vitro, this bioengineering platform provides biologists the control over the development and proliferation of living cells in culture.

 

  Functionalize and/or structure your substrates and generate better in vitro cell models for:

enhancing the physiological relevance of your cell biology experiments,

screening different conditions, facilitating whole-cell cryo-ET imaging.

 

  Micropatterning, hydrogel polymerization and microfabrication, all in a single device. Create bespoke in vitro cellular microenvironments and get better cell models for your cell biology experiments or cryo-ET studies.

 

 Studying the influence of the microenvironment on intracellular and intercellular mechanisms has been essential for research in cell biology, for many years now. But in this quest, in vitro cell experiments confront researchers with many challenges, such as:

-the recurring reproducibility issues,

-reliability in term of physiological relevance,

-but also ease of use,

-and efficiency

Developed by Alvéole scientists after the LIMAP* technology (Strale P.O. et al, Adv Mater. 2016), the PRIMO maskless photopatterning system (DMD based) can engineer custom in vitro cell microenvironments through three techniques: micropatterning, hydrogel structuration and microfabrication.

PRIMO workflow for better in vitro cell models:

1-SUBSTRATE selection and preparation

2-PATTERN DESIGN and upload in Leonardo software

3-UV PROJECTION BY PRIMO for micropatterning, microfabrication, hydrogel polymerization

4-USING CUSTOM in vitro CONDITIONS for better cell experiments

 

Micropatterning

allows to precisely control cell adhesion to mimic in vivo phenotypes, isolate them or place them in reproducible conditions for standardized assays.

 

Microfabrication

PRIMO maskless DMD-based photopatterning system can perform greyscale photolithography on greyscale resists to create complex 3D molds such as ramps, curving wells or microfluidic chips for organ-on-a-chip applications.

 

Hydrogels

As a photopatterning system, PRIMO can also polymerize and photo-scission most commonly used hydrogels for applications such as 3D cell culture or permeable hydrogel membranes polymerization within microfluidic chips.

 

Fields of application

Adjust the biochemical and mechanical cues of the cell microenvironment in vitro with PRIMO and generate more physiologically-relevant cell models.

The system allows you to better study the behavior and development of living cells in a broad range of applications, such as: cytoskeleton dynamics, cell adhesion force measurement, cell confinement, cell migration, tissue engineering, spheroids.

-Substrate structuration: microfabrication, hydrogel structuration

-Micropatterning, Surface functionalization: biomolecule micropatterning

-Substrate structuration and Functionalization: complex cell microenvironments

 

AlvéolePrimoPlate-forme L2
View Pick-and-place

The Flip Chip Die Bonder model PP6-6 is designed for accurate placement of delicate devices on substrate.
It achieves high accuracy placement using high magnification optical device.
The machine provides for single collet vacuum pick and place of die from waffle pack, wafer, Gel-Pak or bulk die media and features adjustable and repeatable subsonic scrub. The placement accuracy is < 3μm, upon configuration.
Small and large devices can placed with flipped vision.
All Process options of PP6 series are available on PP6-6.
A full automated die bonding sequence with according epoxy pattern are programmable Off-Line… as a simple matrix or multiple locations..
A robust, and reliable mechanical concept, designed to be external vibration free.

JFPPP6 Flexible Rework stationCMNF - Intégration
View PICO

-Nettoyage de matériaux

La technologie plasma offre des solutions pour tout type de contamination et n’importe quel substrat quel que soit le traitement ultérieur. Des résidus de contamination moléculaire sont également évacués.

-Activation de matériaux

Une bonne mouillabilité de la surface constitue la condition préalable à  l'adhérence d'éléments lors de l'application de peintures, colles, de l'impression ou de liants.

-Cleaning of materials

 

Plasma technology offers solutions for any type of contamination and any substrate regardless of subsequent processing. Residues of molecular contamination are also evacuated.

-Activation of materials

 

A good wettability of the surface is the prerequisite for the adhesion of elements during the application of paints, glues, printing or binders

 

https://www.plasma.com/fr/plasma-basse-pression-pico/

bcm_29/09/2021

Diner Electronic GmbHDiener PicoCMNF - Soft Litho
View Piegeage optique Non renseignéNon renseignéCARAC Team
View Polisseuse LOGITECH LOGITECHPM5CMNF - Intégration
View Polisseuse manuelle PRESI

The MINITECH Polishing machine is robust, powerful and reliable, it allows an easy use and simple maintenance. The machine provides a constant rotation of the plate, whatever the force applied, giving the possibility to polish large sized samples. MINITECH range can be equipped with plates Ø 200mm or Ø 250mm.

PRESIMinitech 233CMNF - Intégration
View Profilomètre alphastep 500 TencorAlphastep 500CMNF - Caractérisation In-Line
View Profilomètre alphastep IQ TencorAlphastep IQCMNF - Caractérisation In-Line
View Profilomètres Bruker

Mesures de hauteur de 10nm à 1mm.

Utilisable après formation par le tuteur techno de l'équipe.

 

Brukerdektak xtCMNF - Caractérisation In-Line
View Pulvé 4" gauche

Pulvérisation DP650 N°34- 4" gauche

1 - NbTi
2 - TiN
3 - Ti
4 - Au
5 - Al
6 - W

Equipement sur badgeuse BCM depuis le 7 avril 2021

Alliance ConceptDP650 N°34CMNF - Dépôts physiques
View Pulvé 6" droite

Pulvérisation DP650 N°24-6" droite

1 - Ti

2 - Al

3 - Au

4 - Cu

Equipement sur badgeuse BCM depuis le 7 avril 2021

Alliance ConceptDP650 N°24CMNF - Dépôts physiques
View Pulvé-2023 Elettrorava-CMNF Team
View RC8 Ebeam Module 6

Equipement sur badgeuse BCM depuis le 1er janvier 2021

SUSS MICROTECRC8CMNF - Lithographie
View RC8 Opt Module 3

Equipement sur badgeuse BCM depuis le 1er janvier 2021

SUSS MICROTECRC8CMNF - Lithographie
View RC8 Opt Module 5

Equipement sur badgeuse BCM depuis le 1er janvier 2021

SUSS MICROTECRC8CMNF - Lithographie
View RCD8 dedicated process Module 7

Equipement sur badgeuse BCM depuis le 1er janvier 2021

SUSS MICROTECRCD8CMNF - Lithographie
View RCD8 Ebeam Module 5

Equipement sur badgeuse BCM depuis le 1er janvier 2021

SUSS MICROTECRCD8CMNF - Lithographie
View RCD8 Opt module 4

Equipement sur badgeuse BCM depuis le 1er janvier 2021

SUSS MICROTECRCD8CMNF - Lithographie
View Reflectometer MicropackNanocalc 2000-UV-IRCMNF - Lithographie
View Réflectomètre 6 ports Home madehome madeCARAC - Hyperfréquences
View RF1(Cluster CT200) Alliance ConceptRF1 CT200CMNF - Dépôts physiques
View RF2(Cluster CT200) Alliance ConceptRF2 CT200CMNF - Dépôts physiques
View RTA AnealSys AnnealSys, FRAAnnealSys AS-ONECMNF - Thermique et Implantation
View RTA Jipelec III-V

Equipement sur badgeuse BCM depuis le 7 avril 2021

JipelecJetfirst 200CMNF - Thermique et Implantation
View RTA Jipelec implanteur JipelecJipelec JetStar100SCMNF - Thermique et Implantation
View Sawatec module 4 SawatecHP200 HMDSCMNF - Lithographie
View Sawatec module 7 SawatecHP200 HMDSCMNF - Lithographie
View Sawatec module 7bis SawatecHP300CMNF - Lithographie
View Sécheur CO2 supercritique sc fluidsSécheur SC fluidsCMNF - Gravure
View SEMILAB

Non contact plateform. Samples inspection, quality control, process monitoring. Solar cell characterization. Minority Carrier life time. LBIC. Non-contact resistivity (eddy current).

SEMILABWT-2000PVNCMNF - Caractérisation In-Line
View Sentech (ICP-RIE)

Available process gases:

Gas name Max Flow (sccm)
SiCl4 50
BCl3 200
Cl2 100
HBr 100
SF6 500
CF4 200
CHF3 200
CH4 100
H2 100
N2 50
Ar 50
He 50
O2 50
  • Other gases:
  • He (for wafer backside cooling)
  • N2 (for system venting)

Equipement sur badgeuse BCM depuis le 02 mai 2021

Sentech GmbH, DSENTECH SI 500CMNF - Gravure
View Si_DRIE_02

Etching tool dedicated to Silicon only. No apparent metals allowed, no metal masks.

Etch stop layers allowed:

Si, SiO2, SiN, photoresists are the ONLY materials permitted as etch stop layers. 

Mask allowed:

Photoresist, SiO2, SiN are the ONLY permitted masks

Training is provided that includes 1- course given in IEMN amphitheater about plasma basics and intriduction to DRIE, 

2- practical training on the tool.

 

 

SPTSCMNF(gra)CT_42CMNF Team
View SMM Keysight KeysightSMM 40 GHzCARAC - Nanocaractérisation
View SNOM MIR THz NeaspecNeaspec CARAC - Nanocaractérisation
View Spectroscopie gaz ou particules Menlo SystemTeraSmartCARAC - Millimétrique THz
View Spin-Coater

 The Laurell WS-650-23 B spin coater system will accommodate up to ø150mm wafers and 5" × 5" (127mm × 127mm) substrates, and features a maximum rotational speed of 12,000 RPM (based on a ø100mm silicon wafer).

 

 The WS-650 series is typically employed for Solvent, Base or Acid-based processing:

-Coating

-Etching

-Developing

-Rinsing-Drying

-Cleaning.

 

  The process controller allows operator interaction in real-time during the process execution including pausing time, stopping and continuing from that point.

 The housing for this system is made from a solid co-polymer blend which is able to resist solvents and strong acids and bases.

 

  The closed bowl design, coupled with the precision of the process controller, allows most coating materials to dry in a quiescent state, increasing uniformity and minimizing particle contamination.

 A proprietary labyrinth seal protects the motor and control electronics from chemical contamination. This seal provides the process chamber with Nitrogen purge and has been proven to be particle-free on a sub-micron level during field testing.

bcm_29/09/2021

Laurell Technologies CorporationWS-650 seriesCMNF - Soft Litho
View SPM Omicron VT

VT-AFM Omicron

Sample size: 1 centimeter square

X,Y Scan range: 10µmx10µm, Z range 2µm

Resolution: Sub nanometric, Atomic

Working mode: EFM, KPFM, CAFM, STM

Environment: UHV chamber

Temperature working range: from 50K to 1000K

Term of use: with engineer assistance

OmicronVT-AFM/STMPCP - Domaine UHV
View SPM SPECS JT

Low temperature Scanning Probe Microscope with Joule-Thomson stage and magnetic field

- STM/AFM measurments with Length Extension Resonator (Kolibri sensor)

- Nanonis controller

- min temperature : 1.4K

- max magnetic field 3T perpendicular to sample surface

- LEED

SPECSJT-SPMPCP - Domaine UHV
View Station 110GHz A DEFA DEFCARAC - Hyperfréquences
View Station 26.5GHz Multiports Keysight Technologiesà défCARAC - NonLinéaire
View Station 300-750GHz A DEFA DEFCARAC - Millimétrique THz
View Station Dark Box ..CARAC - DC/BF/MEMS
View Station flex Hirox ..CARAC - Hyperfréquences
View Station haute tension Keysight TechnologiesB1505ACARAC - DC/BF/MEMS
View Station IV ..CARAC - DC/BF/MEMS
View Station Korigan 67 GHz A DEFA DEFCARAC - Hyperfréquences
View STATION PM5 A DEFA DEFCARAC - Hyperfréquences
View Station PM8 140-300 GHz à définirà définirCARAC - Millimétrique THz
View Station PM8 Tuner WR10 ..CARAC - Millimétrique THz
View Station sous vide ..CARAC - DC/BF/MEMS
View STM Omicron LT

Low-Temperature Scanning Tunneling Microscope

Surface imaging down to the atomic scale.

Electrical testing on surfaces or nanostructures with atomic precision and ultra-low drift rate (<10pm/h).

All modes of operation compatible with low temperature down to 4K.

OmicronLT-STMPCP - Domaine UHV
View STM Omicron Nanoprobe

  • Microscopie à effet tunnel (STM)

  • Microscopie électronique à balayage (MEB)

  • Localisation et manipulation

  • Mesures de transport multipointes et multi-échelles

  • Chambre de préparation reconfigurable

OmicronNanoprobePCP - Domaine UHV
View STM Omicron RT
  • Dépôt de matériaux (molécules, métaux, semiconducteurs)
  • Préparations (recuits, bombardements)

  • Diffraction d’électrons lents (LEED)

  • Spectroscopie AUGER

  • Microscopie à effet tunnel (STM)

OmicronRT-STM1PCP - Domaine UHV
View Supra55VP

Equipement sur badgeuse BCM depuis le 1er janvier 2021

ZEISSsupra55VPCMNF - Caractérisation In-Line
View Table Optique / HF /Espace libre A DEFA DEFCARAC - Millimétrique THz
View TEPLA (Stripping, ashing)

Applications

  • Photoresist stripping
  • Surface cleaning after storage
  • Surface cleaning after processes (photolithography, wet etching dry etching)
  • Removal of organic passivating layers and masks
  • Resist descum process
PVA TePla AG, DPVA Tepla 300 semi autoCMNF - Gravure
View Thinky Mixer

The association of the vacuum function with the rotary and revolutionary movements allows the complete deaeration of almost all fluids.

 

 The centrifugal force prevents any flow of the mixture during the operation.

 

 The ARV-310 enables to make deaerated mixtures of high viscosity urethane materials in minutes.

 

 The memory mode allows the user to reproduce the optimal conditions of their own mixes for high repeatability and constant

 

 Technical Description:

-Vacuum function: optimal bubble-free dispersion

-Deaerated mixture of highly viscous materials

-Guaranteed without flow, sedimentation or foam during the operation

-Environmental friendliness: mixtures in closed areas

-Modifiable RPM for mixtures of all types

-Viscosity regulator

bcm 29/07/2021

n.an.aCMNF - Soft Litho
View Through-hole Copper Plating Line BungardCompacta 30 ABCCMNF - Intégration
View TMAH Bench Chemical benchChemical benchCMNF - Dépôts chimiques
View Tube A1 Thermal Oxidation TempressTS6303-4CMNF - Dépôts chimiques
View Tube A2 Doped Poly process TempressTS6303-4CMNF - Dépôts chimiques
View Tube A3 LTO BPSG TempressTS6303-4CMNF - Dépôts chimiques
View Tube B1 Thermal oxidation TempressTS6303-4CMNF - Dépôts chimiques
View Tube B2 OxiNitride  TempressTS6303-4CMNF - Dépôts chimiques
View Ultra55

Equipement sur badgeurse BCM depuis le 1er janvier 2021

ZeissUltra55CMNF - Caractérisation In-Line
View UV Laser

install bcm  juin 2022

 

High Resolution Direct Lithography System for fast Prototyping and Maskless Fabrication
• Laser source 375 nm, 73 mW
• Wafer writing area 100 x 100 mm
• Laser spot size: 1μm and 10 μm
• Stage travel resolution and repeatability: 100 nm

KLOEDILASE 650CMNF - Lithographie
View UV/ozone cleaner JelightModel 42D1 - NCM
View UV-Vis Spectrometer Perkin Elmer Perkin ElmerLambda 800D1 - NCM
View Wafer Bonding_Wax LOGITECHSingle wafer bonder unitCMNF - Intégration
View Wafer Scriber / Breaker JFP MicrotechnicModel 100CMNF - Intégration
View Vibromètre Polytec MSA 500 PolytecMSA 500D2 - NAM6
View XeF2

Equipement sur badgeuse BCM depuis le 7 avril 2021

Xactix, USAXetch Xactix X3BCMNF - Gravure
View XPS (ESCA) Physical Electronics5600CMNF - Croissance et caractérisations avancées
View ZTest tool

Lite test-text

TestbolagetACMNF - Caractérisation In-Line
Show Page: 1  (Total Records: 176)Records Per Page:
Back to top